我用VHDL语言写一个LCD屏的内容显示,在max-plusII软件上调试。结果在下面程序段出错:
出错一:[code]
architecture contral of LCD is
......
 type Ram is array(0 to 15) of std_logic_vector(7 downto 0);
   constant MyRamUp:Ram:=(x"46",x"68",x"69",x"73",x"20",x"49",x"73",x"20",x"4d",x"79",x"20",x"46",x"69",x"72",x"73",x"74"); 
                        --This   Is My First 
   constant MyRamDown:Ram:=(x"20",x"20",x"46",x"50",x"47",x"41",x"20",x"50",x"72",x"6f",x"67",x"72",x"61",x"6d",x"20",x"20"); 
                        --FPGA Program
   signal   LCD_Clk : std_logic :='0';
   signal   datacnt : integer range 0 to 15; 
begin
.......
[/code]其中以上代码从27行开始,错误提示为
Error:Line 28:File e:\fpga:Unsupported feature error:aggregates are supported only for types that map to an array of bits.
本人根本不知道如何解决。百度下发现有我那样应用的带买看到错。有错的,也没有人解决。就看到说好像是软件不支持。求帮助!

出错二:[code]signal   datacnt : integer range 0 to 15:=1; [/code]错误提示:VHDL语法错误,expected an integer value.说要一个整数值,试过:=‘1’,但错了!不知道怎么改。目前是投机取巧不赋值。希望得到帮助!

主要是第一个问题,急死人了!!